Seguir
Andrew R. Brown
Andrew R. Brown
Dirección de correo verificada de synopsys.com
Título
Citado por
Citado por
Año
Intrinsic parameter fluctuations in decananometer MOSFETs introduced by gate line edge roughness
A Asenov, S Kaya, AR Brown
IEEE Transactions on Electron Devices 50 (5), 1254-1260, 2003
7382003
Simulation of intrinsic parameter fluctuations in decananometer and nanometer-scale MOSFETs
A Asenov, AR Brown, JH Davies, S Kaya, G Slavcheva
IEEE Transactions on Electron Devices 50 (9), 1837-1852, 2003
7282003
Simulation study of individual and combined sources of intrinsic parameter fluctuations in conventional nano-MOSFETs
G Roy, AR Brown, F Adamu-Lema, S Roy, A Asenov
IEEE Transactions on Electron Devices 53 (12), 3063-3070, 2006
3332006
RTS amplitudes in decananometer MOSFETs: 3-D simulation study
A Asenov, R Balasubramaniam, AR Brown, JH Davies
IEEE Transactions on Electron Devices 50 (3), 839-845, 2003
3202003
Statistical variability and reliability in nanoscale FinFETs
X Wang, AR Brown, B Cheng, A Asenov
2011 International Electron Devices Meeting, 5.4. 1-5.4. 4, 2011
3192011
Increase in the random dopant induced threshold fluctuations and lowering in sub-100 nm MOSFETs due to quantum effects: a 3-D density-gradient simulation study
A Asenov, G Slavcheva, AR Brown, JH Davies, S Saini
IEEE Transactions on Electron Devices 48 (4), 722-729, 2001
2802001
Poly-Si-gate-related variability in decananometer MOSFETs with conventional architecture
AR Brown, G Roy, A Asenov
IEEE Transactions on Electron Devices 54 (11), 3056-3063, 2007
1682007
Statistical threshold-voltage variability in scaled decananometer bulk HKMG MOSFETs: A full-scale 3-D simulation scaling study
X Wang, AR Brown, N Idris, S Markov, G Roy, A Asenov
IEEE Transactions on Electron Devices 58 (8), 2293-2301, 2011
1562011
Impact of metal gate granularity on threshold voltage variability: A full-scale three-dimensional statistical simulation study
AR Brown, NM Idris, JR Watling, A Asenov
IEEE Electron Device Letters 31 (11), 1199-1201, 2010
1392010
Intrinsic fluctuations in sub 10-nm double-gate MOSFETs introduced by discreteness of charge and matter
AR Brown, A Asenov, JR Watling
IEEE Transactions on Nanotechnology 1 (4), 195-200, 2002
1222002
Integrating intrinsic parameter fluctuation description into BSIMSOI to forecast sub-15 nm UTB SOI based 6T SRAM operation
K Samsudin, B Cheng, AR Brown, S Roy, A Asenov
Solid-State Electronics 50 (1), 86-93, 2006
1162006
Quantitative evaluation of statistical variability sources in a 45-nm technological node LP N-MOSFET
A Cathignol, B Cheng, D Chanemougame, AR Brown, K Rochereau, ...
IEEE Electron Device Letters 29 (6), 609-611, 2008
1112008
Hierarchical approach to" atomistic" 3-D MOSFET simulation
A Asenov, AR Brown, JH Davies, S Saini
IEEE Transactions on computer-aided design of integrated circuits and …, 1999
1101999
Quantum-transport study on the impact of channel length and cross sections on variability induced by random discrete dopants in narrow gate-all-around silicon nanowire transistors
A Martinez, M Aldegunde, N Seoane, AR Brown, JR Barker, A Asenov
IEEE Transactions on Electron Devices 58 (8), 2209-2217, 2011
852011
Current variability in Si nanowire MOSFETs due to random dopants in the source/drain regions: A fully 3-D NEGF simulation study
N Seoane, A Martinez, AR Brown, JR Barker, A Asenov
IEEE Transactions on electron devices 56 (7), 1388-1395, 2009
802009
Simulation of statistical variability in nano-CMOS transistors using drift-diffusion, Monte Carlo and non-equilibrium Green’s function techniques
A Asenov, AR Brown, G Roy, B Cheng, C Alexander, C Riddet, U Kovac, ...
Journal of computational electronics 8 (3-4), 349-373, 2009
762009
Origin of the asymmetry in the magnitude of the statistical variability of n-and p-channel poly-Si gate bulk MOSFETs
A Asenov, A Cathignol, B Cheng, KP McKenna, AR Brown, AL Shluger, ...
IEEE Electron Device Letters 29 (8), 913-915, 2008
702008
Variability aware simulation based design-technology cooptimization (DTCO) flow in 14 nm FinFET/SRAM cooptimization
A Asenov, B Cheng, X Wang, AR Brown, C Millar, C Alexander, ...
IEEE Transactions on Electron Devices 62 (6), 1682-1690, 2015
692015
Quantum corrections in the simulation of decanano MOSFETs
A Asenov, AR Brown, JR Watling
Solid-State Electronics 47 (7), 1141-1145, 2003
652003
Variability in Si nanowire MOSFETs due to the combined effect of interface roughness and random dopants: A fully three-dimensional NEGF simulation study
A Martinez, N Seoane, AR Brown, JR Barker, A Asenov
IEEE Transactions on Electron Devices 57 (7), 1626-1635, 2010
642010
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–20