Seguir
Yijia Zhang
Yijia Zhang
Electrical and Computer Engineering, Boston University
Dirección de correo verificada de bu.edu
Título
Citado por
Citado por
Año
Diagnosing Performance Variations in HPC Applications Using Machine Learning
O Tuncer, E Ates, Y Zhang, A Turk, J Brandt, VJ Leung, M Egele, ...
International Supercomputing Conference, 355-373, 2017
1302017
Online Diagnosis of Performance Variation in HPC Systems Using Machine Learning
O Tuncer, E Ates, Y Zhang, A Turk, J Brandt, VJ Leung, M Egele, ...
IEEE Transactions on Parallel and Distributed Systems 30 (4), 883-896, 2019
812019
An empirical survey of performance and energy efficiency variation on Intel processors
A Marathe, Y Zhang, G Blanks, N Kumbhare, G Abdulla, BL Rountree
Energy Efficient Supercomputing (E2SC) 2017, 2017
522017
Quark-lepton complementarity and self-complementarity in different schemes
Y Zhang, X Zhang, BQ Ma
Physical Review D 86 (9), 093019, 2012
322012
HPAS: An HPC Performance Anomaly Suite for Reproducing Performance Variations
E Ates, Y Zhang, B Aksar, J Brandt, VJ Leung, M Egele, AK Coskun
Proceedings of the 48th International Conference on Parallel Processing, 40, 2019
222019
EnergyQARE: QoS-Aware Data Center Participation in Smart Grid Regulation Service Reserve Provision
H Chen, Y Zhang, MC Caramanis, AK Coskun
222018
HPC Data Center Participation in Demand Response: an Adaptive Policy with QoS Assurance
Y Zhang, DC Wilson, IC Paschalidis, AK Coskun
IEEE Transactions on Sustainable Computing, 2021
172021
Proctor: A Semi-Supervised Performance Anomaly Diagnosis Framework for Production HPC Systems
B Aksar, Y Zhang, E Ates, B Schwaller, O Aaziz, VJ Leung, J Brandt, ...
International Supercomputing Conference, 2021
142021
Level-Spread: A New Job Allocation Policy for Dragonfly Networks
Y Zhang, O Tuncer, F Kaplan, K Olcoz, VJ Leung, AK Coskun
IEEE International Parallel & Distributed Processing Symposium (IPDPS), 2018
142018
Quantifying the impact of network congestion on application performance and network metrics
Y Zhang, T Groves, B Cook, NJ Wright, AK Coskun
2020 IEEE International Conference on Cluster Computing (CLUSTER), 162-168, 2020
132020
Data Center Participation in Demand Response Programs with Quality-of-Service Guarantees
Y Zhang, IC Paschalidis, AK Coskun
ACM International Conference on Future Energy Systems (e-Energy), e-Energy'19, 2019
122019
A Data Center Demand Response Policy for Real-World Workload Scenarios in HPC
Y Zhang, DC Wilson, IC Paschalidis, AK Coskun
Design, Automation and Test in Europe Conference, 2021
82021
Task Mapping on a Dragonfly Supercomputer
O Tuncer, Y Zhang, VJ Leung, AK Coskun
IEEE High Performance Extreme Computing Conference (HPEC ‘17), 2017
72017
Extracting mass hierarchy information from simple analysis of neutrino mass splitting
Y Zhang, BQ Ma
Modern Physics Letters A 29 (18), 1450096, 2014
32014
Using Monitoring Data to Improve HPC Performance via Network-Data-Driven Allocation
Y Zhang, B Aksar, O Aaziz, B Schwaller, J Brandt, V Leung, M Egele, ...
22021
Data center and load aggregator coordination towards electricity demand response
Y Zhang, A Tsiligkaridis, IC Paschalidis, AK Coskun
Sustainable Computing: Informatics and Systems 42, 100957, 2024
12024
Improving GPU Energy Efficiency through an Application-transparent Frequency Scaling Policy with Performance Assurance
Y Zhang, Q Wang, Z Lin, P Xu, B Wang
European Conference on Computer Systems (EuroSys'24), 2024
2024
Experiments on Data Center Participation in Demand Response Programs
Y Zhang, O Tuncer, A Tsiligkaridis, M Caramanis, IC Paschalidis, ...
IEEE High Performance Extreme Computing Conference (HPEC ‘18), 2018
2018
El sistema no puede realizar la operación en estos momentos. Inténtalo de nuevo más tarde.
Artículos 1–18